모두보기

영어판을 공식 버전으로 해주세요돌아가기

유럽
France(Français) Germany(Deutsch) Italy(Italia) Russian(русский) Poland(polski) Netherlands(Nederland) Spain(español) Turkey(Türk dili) Israel(עִבְרִית) Denmark(Dansk) Switzerland(Deutsch) United Kingdom(English)
아시아 태평양
Japan(日本語) Korea(한국의) Thailand(ภาษาไทย) Malaysia(Melayu) Singapore(Melayu) Philippines(Pilipino)
아프리카, 인도 및 중동
India(हिंदी)
북아메리카
United States(English) Canada(English) Mexico(español)
블로그전체 가산기에 대한 자세한 안내서
5월10일에서

전체 가산기에 대한 자세한 안내서


디지털 전자 장치 및 컴퓨터 엔지니어링 영역에서, 추가 업체는 가장 복잡한 산술 회로의 구성 및 기능에있어 가장 중요한 빌딩 블록 중 하나를 나타냅니다.산술 로직 유닛 (ALU)의 적분 구성 요소로서, 추가기는 기본 산술에서 시스템 운영에 필요한 복잡한 논리적 작업에 이르기까지 수많은 컴퓨팅 작업을 용이하게합니다.단순한 하프 어드더에서보다 복잡한 전체 추가 장치에 이르기까지 다양한 디자인은 디지털 시스템 내에서 처리의 효율성과 속도를 향상시키는 데 중요한 역할을합니다.이 기사는 다양한 유형의 부가자의 상세한 아키텍처 및 기능적 뉘앙스를 탐구하여 현대 컴퓨팅 기술에서의 중요한 역할을 보여주고 디지털 논리 설계의 광범위한 맥락에서 운영 논리, 회로 및 응용 프로그램을 탐색합니다.

목록


1. 가산기는 무엇입니까?
2. 하프 가산기
3. 전체 가산기
4. 전체 가산기 진실 테이블
5. 전체 가산기의 특성 방정식
6. 전체 가산기 회로 구현
7. 전체 부가자의 장점과 단점
8. 디지털 로직에서 전체 추가 업체의 응용
9. 전체 가산기 구현의 예
10. 결론

 Full Adder
그림 1 : 전체 가산기


가산기는 무엇입니까?


애인은 전자 엔지니어링 및 디지털 로직 설계의 기본 구성 요소이며보다 복잡한 컴퓨터 시스템에서 중심적인 역할을합니다.산술 로직 유닛 (ALU)의 일부로, 애인은 다양한 필수 컴퓨팅 작업을 처리합니다.전체 프로세서의 효율성과 성능은 가산기의 설계 및 기능에 의해 직접 영향을받습니다.

프로세서에서 가산기는 추가 및 뺄셈과 같은 기본 산술 작업뿐만 아니라 더 넓은 범위의 논리적 작업에도 사용됩니다.예를 들어, 프로그램을 실행할 때 프로세서는 종종 새로운 메모리 주소를 계산해야합니다.이는 일반적으로 현재 주소에 추가하거나 빼면 가산기가 수행 한 작업입니다.그들은 카운터를 증가시키고 감소시킴으로써 루프 반복의 수와 전체 흐름을 제어합니다.테이블 인덱싱과 같은보다 복잡한 응용 프로그램에서 추가 업체는 오프셋을 계산하여 데이터를 신속하게 찾습니다.이는 데이터베이스 관리 시스템, 컴파일러 구성 및 대규모 데이터 처리에 중요합니다.추가기는 또한 산술 작업을 사용하여 캐시의 데이터 위치를 결정하여 액세스 효율을 최적화하는 다단계 캐시 시스템에서 특정 매핑 기술을 구현하는 데 핵심입니다.

기술적으로, 부가자는 병렬, 직렬 및 파이프 라인 추가기를 포함한 다양한 회로 설계를 통해 구현됩니다.병렬 추가기는 여러 자리를 동시에 처리하는 능력에 선호되므로 빠른 계산이 필요한 시나리오에 적합합니다.반면에 직렬 부가자는 클록 사이클 당 하나의 비트를 처리하며 리소스 제한 또는 저전력 환경에 이상적입니다.파이프 라인 부가자는 추가 프로세스를 여러 단계로 나누고 각 단계로 나뉘어 각 단계로 처리합니다.이 설계는 특히 고성능 컴퓨팅 환경에서 계산 속도를 크게 향상시킵니다.

반입수


하프 어드데르 회로는 디지털 전자 장치의 기본 빌딩 블록으로, 두 개의 단일 바이너리 숫자를 추가하도록 설계되었습니다.이 회로는 완전 가산기의 기초를 형성하며보다 복잡한 논리 회로 설계를 이해하는 데 핵심입니다.하프 가산기에는 A와 B가 각각 A 및 B를 나타내는 2 개의 1 차 입력이 있습니다.이 설정을 사용하면 이전 계산에서 캐리 입력이 필요하지 않고 합계를 계산하고 캐리 출력을 할 수 있습니다.

하프 어드먼트의 핵심은 XOR 게이트와 게이트의 두 논리 게이트로 구성됩니다.XOR 게이트는 합계 출력을 생성 할 책임이 있습니다.입력 중 하나만 1이면 1을 출력하는 간단한 규칙에 따라 작동합니다.그렇지 않으면 0을 출력합니다. 반면에, 게이트는 캐리 출력을 처리합니다.두 입력이 모두 1 인 경우에만 1을 생성하며 이진 첨가의 기본 요구 사항과 완벽하게 정렬됩니다.

 Construction of Half Adder
그림 2 : 하프 가산기의 구성


이 효율적이고 간단한 디자인을 사용하면 하프 애드더가 기본 이진 첨가물을 독립적으로 수행 할뿐만 아니라 전체 애인을 생성하기위한 빌딩 블록 역할을 할 수 있습니다.전체 가산기는 2 개의 절반 부가자와 추가 또는 게이트를 결합하여 구성됩니다.이 설정에서 상반기 가산기는 초기 추가 작업을 수행하여 예비 합계를 생성하고 운반합니다.그런 다음 하반기 가산기는 추가 캐리 입력과 함께 첫 번째로 캐리를 처리합니다.마지막으로, OR 게이트는 두 개의 캐리 출력을 병합하여 최종 캐리 출력을 생성합니다.이 배열은 가산기의 기능을 향상시켜 더 복잡한 멀티 비트 추가 작업을 처리 할 수있게하여 디지털 회로 설계에서 광범위한 애플리케이션과 절반의 중요성을 보여줍니다.

전체 가산기


전체 가산기는 디지털 로직 설계의 고급 구성 요소이며, 일반적으로 a, b로 레이블이 지정된 3 개의 단일 비트 바이너리 입력을 추가 할 수 있습니다.이 설계를 통해 Full Adder는 이전 하위 주문 계산의 캐리를 단일 작업에 통합하여 복잡한 이진 첨가를 효율적으로 처리 할 수 있습니다.

 Full Adder Circuit
그림 3 : 전체 가산기 회로


기능적으로 전체 가산기는 2 자리 합을 출력 할 수 있습니다. 즉, 출력이 0에서 3까지의 범위가 될 수 있으며 Cout (Cout)도 생성 할 수 있습니다.모든 입력 비트가 1 (a = 1, b = 1, cin = 1)으로 설정되면 합력이 1 (이진 01을 나타냄)으로 설정되고 1의 이월이 생성되기 때문에 발생합니다.추가 캐리는 다음 더 높은 비트로 전달해야합니다.

구조적으로, 전체 가산기는 일반적으로 2 개의 절반 부가자와 1 개 또는 게이트로 구성됩니다.첫 번째 애인은 입력 A와 B를 가져 와서 초기 합계와 캐리 신호를 생성합니다.그런 다음이 초기 합계는 캐리 인 (CIN)과 함께 후반전에 공급됩니다.하반기 가산기의 역할은이 합계를 전반전 가산기에서 CIN에 추가하여 다른 합계와 새로운 캐리 출력을 생성하는 것입니다.동시에, 상반기 가산기의 캐리 출력과 후반 애인더의 캐리 출력은 OR 게이트를 통해 병합되어 전체 가산기의 최종 이월 (Cout)에서 정점에 이릅니다.이 구조의 설계는 전체 가산기가 작업에서 운반자의 전파를 효과적으로 처리하여 멀티 비트 바이너리 첨가를 실행하는 데 이상적입니다.따라서 전체 가산기의 설계는 데이터 처리 속도를 높이고 효율성을 향상시킬뿐만 아니라 논리 게이트의 간단한 조합을 통해 하드웨어 구현의 복잡성을 단순화하여 다중 자리 첨가 및 산술 로직 장치 (ALU)에서 필수적인 구성 요소가됩니다.).

매개 변수

반입수

전체 가산기

설명

반 애더는 조합 논리입니다 1 비트 숫자 두 개를 추가하는 회로.반드트는 둘의 합을 생성합니다 입력.

전체 가산기는 조합 논리입니다 3 개의 1 비트 이진 숫자에서 추가 작업을 수행하는 회로. Full Adder는 세 가지 입력 및 운반 값의 합을 생성합니다.

이전 캐리

이전 캐리는 사용되지 않습니다.

이전 캐리가 사용되었습니다.

입력

하프 애인에는 두 개의 입력 비트가 있습니다 (A, 비).

전체 가산기에는 3 개의 입력 비트가 있습니다 (a, b, c~에).

출력

생성 된 출력은 두 개의 비트-스섬입니다 2 비트의 입력에서 운반하십시오.

생성 된 출력은 두 개의 비트-스섬입니다 3 비트의 입력에서 운반하십시오.

사용

하프 어드먼트 회로를 사용할 수 없습니다 풀 어드데르 회로와 마찬가지로.

전체 가산기 회로를 제자리에 사용할 수 있습니다 반 애인 회로의.

특징

간단하고 구현하기 쉽습니다

전체 가산기의 디자인은 그다지 아닙니다 반 애인으로 간단합니다.

논리적 표현

하프 가산기에 대한 논리적 표현은 다음과 같습니다. s = a⊕b;c = a*b.

Full Adder의 논리적 표현은 다음과 같습니다. s = ainb⊕cin;씨밖으로= (a*b)+(c~에*(a .b)).

논리 게이트

그것은 하나의 ex-or 게이트와 하나와로 구성됩니다 문.

그것은 두 개의 ex-or, 2와 문으로 구성되어 있습니다. 그리고 하나 또는 게이트.

응용 프로그램

계산기, 컴퓨터, 디지털 측정 장치 등

여러 비트 첨가물에 사용되며 디지털 프로세서 등

대체 이름

절반의 대체 이름은 없습니다 가산기.

전체 가산기는 잔물결 캐리라고도합니다 가산기.

차트 1 : 하프 가산기와 전체 가산기의 차이


전체 가산기 진실 테이블


완전 가산기의 진실 테이블은 이진 입력 조합과 해당 출력 간의 정확한 관계를 자세히 설명하는 작동 논리를 이해하는 열쇠입니다.이 표는 모든 잠재적 인 입력 시나리오와 결과를 보여 주므로 디지털 로직 회로의 설계 및 검증에 필수적인 도구가됩니다.전체 가산기는 3 개의 입력을 처리하고 A, B 및 CIN (Carry-In) (CIN)는 0 또는 1 일 수 있습니다. 이는 8 개의 가능한 입력 조합을 초래합니다.

이 조합 각각에 대해 전체 가산기의 출력에는 합 (sum) 및 Cout (Cout)가 포함됩니다.합은 세 가지 입력의 Modulo-2 첨가 (XOR 작동)-A, B 및 Cin입니다.이는 입력 비트의 최소 2 개 이상이 1 일 때 발생합니다. 이는 순차적 인 추가 작업에서 이월을 처리 할 수있는 전체 가산기의 기능을 반영하여 더 높은 비트 계산의 정확성을 보장합니다.

예를 들어, 모든 입력이 0 인 입력 시나리오를 고려하십시오 (a = 0, b = 0, c-in = 0).출력 합계는 0이고, 캐리는 또한 0이되며, 이는 추가 합 또는 관리를 관리 할 수 없음을 나타냅니다.하나의 입력 비트 만 A = 1, b = 0, c-in = 0과 같은 1 인 경우 출력 합은 캐리가없는 1이므로 휴대를 더 높은 비트로 전송할 필요가 없음을 보여줍니다.2 개의 입력 비트가 A = 1, B = 1, C-in = 0과 같이 1 인 경우 출력 합계는 0이므로 (1+1은 이진에서 2, 모듈로 -2는 0이기 때문에), 휴대는-아웃은 1이며 다음 더 높은 비트로 전달 해야하는 캐리를 나타냅니다.가장 복잡한 시나리오는 3 개의 입력 비트가 모두 1 (a = 1, b = 1, c-in = 1) 일 때 발생합니다.출력 합계는 1이고, 이월은 1이며, 이는 훨씬 더 높은 비트에서 캐리 관리가 필요할 수 있음을 시사합니다.

~에

에스

밖으로

0

0

0

0

0

0

0

1

1

0

0

1

0

1

0

0

1

1

0

1

1

0

0

1

0

1

0

1

0

1

1

1

0

0

1

1

1

1

1

1

차트 2 : 전체 가산기 진실 테이블


전체 가산기의 특성 방정식


전체 가산기를 이해하려면 입력 값 A, B 및 C-in의 다른 조합이 출력 합계 및 cout로 이어지는 진실 테이블을 사용하여 기능을 해부하는 것입니다.작업을 파악하기 위해 로직 방정식을 단계별로 단순화합니다.

합계에 대한 논리 표현


방정식은 합이 1과 같은 뚜렷한 경우로 시작합니다.

• A 'B'CIN + A 'B CIN' + A B 'CIN' + AB CIN

이 방정식은 CIN이 있거나없는 용어를 그룹화하여 응축 할 수 있습니다.

• CIN (A 'B' + AB) + CIN '(A'B + A B ')

이 단순화는 구현하기 쉬운 형태로 이어집니다.

• CIN XOR (A XOR B)

이 표현은 합계 출력이 본질적으로 A, B 및 Cin 간의 XOR 작동임을 보여 주며, 이는 홀수 수를 기반으로 한 합 토글의 이진 첨가의 핵심 동작을 캡처합니다.

Cout에 대한 논리


캐리가 생성되는 조건부터 시작 :

• A 'B CIN + A B'CIN + AB C-In ' + AB CIN

방정식을 단순화하면 일반적으로 캐리를 생성하는 용어를 식별합니다.

• AB + B CIN + A CIN

Cout을 구현하기위한 다른 방법을 찾으면 방정식을 재구성 할 수 있습니다.

• AB + A CIN + B C-In (A + A ')

이렇게하면 확장 및 재결합이 확장되어 최소한 두 개의 입력이 1 인 모든 시나리오를 캡처합니다.

• AB CIN + AB + A C-IN + A 'B CIN

• AB (1 + CIN) + A C-In + A 'B CIN

• AB + A C-in (B + B ') + A'B CIN

• AB + A B 'CIN + A'B CIN

• AB + CIN (A 'B + A B')

Cout의 최종 소형 양식 :

• AB + CIN (A XOR B)

이 버전의 휴대용 방정식은 A와 B가 모두 1 일 때 또는 A 또는 B 중 하나가 휴대용과 함께 1 일 때 Cout이 생성되는 방법을 보여줍니다.비트 바이너리 첨가.이 간소화 된 논리는 효율적인 디지털 회로를 구현하는 데 특히 유용합니다.

Equations of a Full Adder
그림 4 : 전체 가산기의 방정식


전체 가산기 회로 구현


전체 가산기 회로를 구현하려면 3 개의 단일 비트 바이너리 입력의 추가를 효율적으로 처리 할 수있는 장치를 생성하기 위해 2 개의 하프 첨가제와 OR 게이트를주의 깊게 조립하는 것이 포함됩니다.이 배열은 회로의 복잡성을 최적화하고 효율적이고 정확한 계산을 보장합니다.

우선, 상반기 가산기는 2 개의 1 차 바이너리 입력 인 A와 B를 사용합니다.주요 작업은이 두 숫자의 합계와 초기 운반을 계산하는 것입니다.여기서는 상반기 가산기가 두 가지 결과를 제공합니다 : 초기 합 (sum1)과 초기 캐리 (Carry1).SUM1은 A 및 B의 XOR 작동에서 파생되며, 총계의 홀수가 1인지를 나타냅니다.A와 B 사이의 작업 및 작동 결과는 두 자리가 모두 1인지를 나타냅니다.

다음으로, 하반기 애드더가 프로세스에 합류합니다.Sum Output Sum1과 세 번째 입력 CIN (이전 계산에서 휴대용)을 입력으로 사용합니다.하반기 애인은 최종 합계 결과 (Sum2)와 2 차 캐리 (Carry2)의 두 가지 출력을 생성합니다.SUM1 및 CIN의 XOR 결과 인 SUM2는 세 가지 입력의 최종 합을 나타내며 집계 된 이진 첨가를 표시합니다.Sum1과 Cin 사이의 및 작동에서 나오는 Carry2는 이러한 구성 요소에 의해 생성 된 캐리를 나타냅니다.

Full Adder Circuit with Using Two Half Adder
그림 5 : 2 개의 하프 애인을 사용하여 가산기 회로


마지막으로, 전체 가산기의 설계를 완료하려면, 또는 게이트는 Carry Outputs Carry1 및 Carry2를 모두 절반 부가자로부터 처리해야합니다.이 또는 게이트는 계산 중에 생성 된 모든 캐리가 최종 출력으로 올바르게 전달되도록합니다.따라서 Full Adder의 최종 캐리 출력 (Cout)은 Carry1 및 Carry2의 결과입니다.이 또는 게이트를 포함 시키면 모든 잠재적 인 캐리가 다중 비트 추가 작업에서 정확하게 계산되고 다음 단계로 전달되며, 여러 비트에 걸쳐 산술 작동의 무결성을 보장합니다.


디지털 로직에서 전체 추가 업체의 장점과 과제


전체 추가 업체는 디지털 로직 설계에서 필수 불가결 한 역할을 수행하여 다양한 컴퓨팅 및 데이터 처리 시나리오에서 필수적인 수많은 이점을 제공합니다.그들의 주요 장점으로는 놀라운 유연성, 신속한 처리 속도 및 효율적인 운송 관리가 포함됩니다.이러한 특성은 완전한 추가자가 복잡한 산술 작업 및 논리 기능, 특히 순차적 다중 비트 추가가 필요한 응용 프로그램에서 실행하는 데 이상적입니다.

장점


유연성 : 전체 추가기는 다중 바이너리 입력 (A, B 및 CIN)을 동시에 처리하는 능력이 뛰어납니다.또한 더 긴 바이너리 숫자를 처리하기 위해 더 큰 애인 어레이로 확장 될 수 있습니다.이 확장 성은 고성능 산술 로직 유닛 (ALUS)을 구축하는 데 중요하며 복잡한 다중 비트 산술 및 논리 작업을 수행해야합니다.

속도 : 내부 병렬 처리 아키텍처 덕분에 전체 추가 장치는 단일 클록주기에 모든 입력을 추가하면서 출력을 전달하기로 결정할 수 있습니다.이 기능은 지속적인 추가 작업을 지원하며 최신 마이크로 프로세서 및 고속 컴퓨팅 장치에서 실시간 데이터 처리에 없어서는 안됩니다.

단점


그러나 전체 추가 장치는 주목할만한 단점도 있습니다.

설계 및 구현의 복잡성 : 전체 추가 장치에는 여러 논리 게이트와 다단계 운반 전파가 포함되어 디자인이 복잡해집니다.이러한 복잡성은 제조 비용을 증가시킬뿐만 아니라 회로의 신뢰성에 영향을 줄 수 있습니다.

전파 지연 문제 : 운반 전파는 여러 논리 게이트를 통과 할 수 있으며 각각 지연을 추가합니다.이는 대규모 운영 중, 특히 광범위한 컴퓨터 시스템 및 매우 큰 규모 통합 (VLSI) 및 고속 프로세서 설계에서 전체 계산 속도를 제한 할 수 있습니다.지연은 성능에서 상당한 병목 현상이 될 수 있습니다.

이러한 문제를 완화하기 위해 엔지니어는보다 효율적인 회로 설계를 지속적으로 탐색합니다.전략에는 더 빠른 로직 게이트 기술 사용, 경로 길이를 단축하기 위해 회로 레이아웃 최적화, 캐리 사무소 추가기와 같은 고급 기술을 개발하여 캐리 전파 지연을 최소화하는 것이 포함됩니다.


디지털 로직에서 전체 추가기의 응용 프로그램


전체 추가 장치는 유연성과 효율성으로 인해 디지털 로직으로 광범위하게 사용되므로 다양한 컴퓨팅 및 데이터 처리 작업의 중심이됩니다.그들의 응용 프로그램은 기본 산술 작업에서 복잡한 신호 처리 및 시스템 제어에 이르기까지 해당됩니다.다음은 전체 추가 장치가 필수적인 주요 영역에 대한 자세한 내용입니다.

산술 회로


전체 추가 장치의 가장 간단한 용도 중 하나는 산술 회로에서 이진 첨가를 수행하는 것입니다.특히 멀티 비트 숫자 첨가에 중요하면 전체 추가기는 계단식을 통해 더 긴 바이너리 시퀀스를 관리합니다.이 배열에서, 각각의 전체 애드더는 비트 위치와 하단 비트에서 캐리를 추가로 처리 한 다음 새 캐리를 다음 하이 비트의 전체 가산기로 전달합니다.이 캐스케이드를 사용하면 전체 숫자 범위의 포괄적 인 멀티 비트 추가 기능이 가능합니다.

데이터 처리


전체 추가기는 또한 정확하고 빠른 산술 작업이 필요한 필터링 및 푸리에 변환을위한 DSP (Digital Signal Processing)와 같은 고급 데이터 처리 작업에서 중추적 인 역할을합니다.또한, 데이터 암호화 및 오류 감지 및 Parity Checks 및 Cyclic Redundancy Check (CRC) 코드 생성과 같은 수정 알고리즘을 포함한 정보 보안 영역에서 필수 비트 작업을 실행하는 데 중요합니다.

카운터


디지털 카운터에서 전체 부가자는 특히 모듈 식 및 동기 카운터에서 증분 및 감소 기능을 모두 구현할 수 없습니다.정확한 계산을 보장하기 위해 캐리와 차입을 정확하게 관리합니다.

멀티플렉서 (MUX) 및 Demultiplexers (DEMUX)


멀티플렉서 및 디 뮬립저에서 전체 추가 장치는 채널 선택 및 데이터 배포에 중요한 역할을합니다.그들은 데이터 입력 및 출력에 사용되는 채널을 결정하는 논리에 참여하여 논리 제어 신호를 기반으로 결정을 내립니다.

메모리 기술


메모리 주소 지정에서 전체 추가 장치는 메모리 위치에 동적으로 액세스하기위한 주소 신호를 생성하는 데 도움이됩니다.이는 동적 랜덤 액세스 메모리 (DRAM) 및 기타 스토리지 시스템에서 특히 중요합니다. 여기서 전체 추가 장치는 메모리 액세스 효율을 향상시키기 위해 복잡한 주소 계산을 지원합니다.

산술 로직 단위 (ALU)


마지막으로, 마이크로 프로세서 및 디지털 신호 프로세서에서 산술 로직 유닛의 기본 구성 요소로서 전체 추가 장치가 중요합니다.ALU는 모든 산술 및 논리 작업을 처리하며 전체 추가 장치는 데이터가 신속하고 정확하게 처리되도록합니다.


전체 가산기 구현의 예


전체 추가기는 다양한 로직 게이트와 구성을 사용하여 구성 할 수 있습니다.여기서는 설정과 운영 뉘앙스를 강조하는 4 가지 구현을 탐색합니다.

XOR 및 또는 게이트로 제작 된 전체 가산기


Full Adder Built with XOR, AND, and OR Logic Gates
그림 6 : XOR 및 로직 게이트로 제작 된 전체 가산기


이 예제는 개별 트랜지스터를 사용하여 빵 보드 위에 내장 된 전체 가산기를 보여줍니다.이 구성은 5 개의 논리 게이트, 즉 2 개의 XOR 게이트, 2 개 및 게이트, 1 개 또는 게이트로 구성되며 총 21 개의 트랜지스터가 필요합니다.입력 A와 B는 브레드 보드의 왼쪽 상단에 연결되어 +5V 공급을받습니다.이 입력은 두 개의 토글 스위치를 사용하여 제어됩니다.왼쪽 상단에있는 2 개의 LED는 입력 A와 B의 상태를 나타내고 오른쪽의 두 LED는 출력을 표시합니다.회로에 사용 된 저항은 모두 2.2k 옴입니다.입력 A와 B가 켜져 있고 휴대용이 꺼지면 출력은 이진 값 10을 표시하여 합 2 (1 + 1 + 0 = 10)를 나타냅니다.처음 12 개의 트랜지스터로 제작 된 XOR 게이트는 기본 요약을 처리하는 반면, 빵 보드의 하단 절반에는 캐리 작업을위한 및 또는 게이트가 포함되어 있으면 색상으로 구분 된 배선은 명확성과 문제 해결을 향상시킵니다.

NAND 게이트를 사용하는 가산기


 Full Adder Using NAND Gates
그림 7 : NAND 게이트를 사용한 전체 가산기


이 전체 가산기는 9 개의 NAND 게이트를 사용하며 각 게이트는 2 개의 트랜지스터로 만들어졌으며 총 18 개의 트랜지스터입니다.이 방법은 개별 구성 요소를 사용하여 전체 가산기를 구성하는 가장 간단하고 효율적인 방법 중 하나입니다.모든 게이트는 빵 보드의 상단 절반에 조립되고 스위치는 하반기를 차지합니다.회로의 기능은 입력 A 및 B on 및 휴대용으로 입증되어 10 진수 2에 해당하는 출력 바이너리 값 10을 초래합니다.

또는 게이트가있는 가산기


Full Adder with NOR Gates
그림 8 : 가트가있는 가산기가 있습니다


9 개 또는 게이트로 제작 된 각각 2 개의 트랜지스터가 필요한이 설정은 총 18 개의 트랜지스터를 사용합니다.NOR Gates로 가산기를 구축하면 좋은 대안을 제공하지만 NAND 게이트에 비해 더 복잡한 배선이 포함됩니다.NOR 게이트의 각 트랜지스터는 접지되어 있으며 수집기는 오렌지 점퍼 와이어로 연결되어 깔끔하고 체계적인 배선을 보장합니다.이 구성은 입력 A 및 B가 활성화되고 휴대용이 비활성화 된 상태로 표시되며, 캐리가 활성화되고 합계가 꺼져있는 출력으로 이어집니다.


결론


기본 하프 첨가제에서 정교한 완전 가산기 설계에 이르기까지 애인 기술 탐색을 통해 이러한 구성 요소는 디지털 전자 제품의 발전과 기초가된다는 것이 분명합니다.제공된 운영 특성 및 구현 예는 다양한 계산 설정에서 부가자의 다양성과 효율성을 강조합니다.특히 진실 테이블과 특성 방정식을 통해 부가자의 구조와 기능을 검토함으로써, 우리는 그들의 기능과 제약에 대한 귀중한 통찰력을 얻습니다.이 지식은보다 효율적이고 빠른 컴퓨팅 시스템의 설계를 발전시키는 데 중요한 역할을합니다.궁극적으로, 부가자는 복잡한 이진 계산을 단순화 할뿐만 아니라 데이터 처리, 메모리 할당 및 디지털 신호 처리와 같은 다양한 영역에서 기술의 확산을 가능하게합니다.디지털 기술이 발전함에 따라 가산기 설계의 지속적인 개선 및 혁신은보다 진보 된 컴퓨팅 아키텍처의 개발에서 계속 초석이 될 것이며, 이러한 기본 구성 요소는 디지털 시스템 설계 및 구현의 핵심을 유지합니다.







자주 묻는 질문 [FAQ]


1. 전체 가산기 회로 란 무엇입니까?


Full Adder는 합계와 캐리 출력을 생성하기 위해 3 개의 이진 비트를 추가하는 디지털 회로입니다.3 개의 입력을 추가하도록 설계되었습니다. 이전 추가에서 2 개의 유의 한 비트와 1 개의 휴대용 비트가 있습니다.이를 통해 연속적인 단계에서 사용할 수있어 다중 비트 바이너리 숫자를 추가 할 수 있습니다.

2. 전체 가산기의 몇 개 및 또는 XOR?


일반적인 전체 가산기에는 다음이 포함됩니다.

합계를 생성하기위한 두 개의 XOR 게이트.

이월 계산에 기여하는 2 개 및 게이트.

휴대용 출력을 마무리하기 위해 하나 또는 게이트.

3. Full Adder의 캐리 입력이란 무엇입니까?


완전 가산기의 캐리 입력 (CIN)은 다중 비트 바이너리 첨가에서 이전 하한 유의 비트를 추가 한 캐리 비트입니다.현재 합계와 새로운 휴대용을 계산할 때 전체 가산기 가이 이전 캐리를 고려할 수 있습니다.

4. 왜 절반 가산기 대신에 가산기를 사용합니까?


3 개의 비트 (이전 첨가물의 캐리 포함)를 추가 할 수 있기 때문에 반 애인 대신에 가산기가 사용됩니다.반드트는 두 비트 만 추가 할 수 있으며 휴대용에 대한 규정이 없으므로 순차적 운반 전파없이 가장 간단한 형태의 이진 추가로 사용을 제한합니다.

0 RFQ
쇼핑 카트 (0 Items)
비어 있습니다.
목록을 비교하십시오 (0 Items)
비어 있습니다.
피드백

귀하의 의견이 중요합니다!Allelco에서는 사용자 경험을 소중히 여기며 지속적으로 개선하기 위해 노력합니다.
피드백 양식을 통해 귀하의 의견을 공유하십시오. 즉시 응답하겠습니다.
Allelco을 선택해 주셔서 감사합니다.

주제
이메일
메모/주석
인증 코드
파일을 업로드하려면 드래그 또는 클릭하십시오
파일 업로드
유형 : .xls, .xlsx, .doc, .docx, .jpg, .png 및 .pdf.
최대 파일 크기 : 10MB