모두보기

영어판을 공식 버전으로 해주세요돌아가기

유럽
France(Français) Germany(Deutsch) Italy(Italia) Russian(русский) Poland(polski) Czech(Čeština) Luxembourg(Lëtzebuergesch) Netherlands(Nederland) Iceland(íslenska) Hungarian(Magyarország) Spain(español) Portugal(Português) Turkey(Türk dili) Bulgaria(Български език) Ukraine(Україна) Greece(Ελλάδα) Israel(עִבְרִית) Sweden(Svenska) Finland(Svenska) Finland(Suomi) Romania(românesc) Moldova(românesc) Slovakia(Slovenská) Denmark(Dansk) Slovenia(Slovenija) Slovenia(Hrvatska) Croatia(Hrvatska) Serbia(Hrvatska) Montenegro(Hrvatska) Bosnia and Herzegovina(Hrvatska) Lithuania(lietuvių) Spain(Português) Switzerland(Deutsch) United Kingdom(English)
아시아 태평양
Japan(日本語) Korea(한국의) Thailand(ภาษาไทย) Malaysia(Melayu) Singapore(Melayu) Vietnam(Tiếng Việt) Philippines(Pilipino)
아프리카, 인도 및 중동
United Arab Emirates(العربية) Iran(فارسی) Tajikistan(فارسی) India(हिंदी) Madagascar(malaɡasʲ)
남아메리카 / 오세아니아
New Zealand(Maori) Brazil(Português) Angola(Português) Mozambique(Português)
북아메리카
United States(English) Canada(English) Haiti(Ayiti) Mexico(español)
블로그링 카운터 발견 : 기능, 분류 및 사용에 대한 심층적 인 안내서
9월18일에서

링 카운터 발견 : 기능, 분류 및 사용에 대한 심층적 인 안내서

링 카운터는 폐쇄 루프로 연결된 플립 플롭으로 구성된 디지털 회로로 디지털 시스템에 사용되는 순차적 및 순차적 작업을 가능하게합니다.이 기사에서는 기본 작동에서 4 비트 및 8 비트 버전과 같은보다 복잡한 형태로 시작하여 초기화, 역학 및 용도를 자세히 설명합니다.

목록

1. 링 카운터의 기본
2. 8 비트 링 카운터를 디코딩합니다
3. 4 비트 링 카운터 작동
4. 반지 카운터의 진실 테이블을 해독합니다
5. Verilog HDL의 링 카운터 디자인
6. 다양한 유형의 링 카운터
7. 링 카운터와 Johnson 카운터 비교
8. 링 카운터 사용의 장단점 평가
9. 현대 전자 장치에서 링 카운터의 다양한 응용
10. 결론

Ring Counter

그림 1 : 링 카운터

링 카운터의 기본 사항

링 카운터는 마지막 플립 플롭의 출력이 첫 번째로 다시 전송되는 폐쇄 루프 형식으로 설계된 특수 종류의 시프트 레지스터입니다.이 루프 배열은 최종 플립 플롭 이후 데이터 흐름이 중지되는 표준 시프트 레지스터와 차별화되는 것입니다.링 카운터의 작동은 플립 플롭 세트를 중심으로 진행됩니다.카운터가 보유 할 수있는 상태의 수는 회로에 사용되는 플립 플롭의 수에 따라 다릅니다.예를 들어, 4 비트 링 카운터에는 4 개의 플립 플롭이 포함되어 있습니다.실제적으로, 각 플립 플롭은 특정 시퀀스를 따라 링 카운터가 디지털 시스템의 타이밍 및 시퀀싱과 같은 중요한 작업을 처리 할 수 ​​있습니다.

일반적인 링 카운터에서 클록 펄스 (CLK)는 모든 플립 플롭의 작동을 동시에 제어하여 동기 시스템으로 만듭니다.각 플립 플롭에는 다른 입력보다 우선 순위가 높은 두 가지 특수 입력 (Preset (PR) 및 Clear (CLR)가 있습니다.사전 설정 입력이 낮은 신호를 받으면 플립 플롭의 출력을 높게 강제합니다.마찬가지로, 투명 입력이 낮은 신호를 받으면 플립 플롭의 출력을 낮게 재설정합니다.이러한 사전 설정 및 명확한 명령은 출력이 다른 입력 또는 클록 신호에 의해 안정적이고 영향을받지 않도록합니다.

8-bit Ring Counter

그림 2 : 8 비트 링 카운터

8 비트 링 카운터 디코딩

8 비트 링 카운터는 연속 루프로 배열 된 8 개의 D 형 플립 플롭으로 구성된 디지털 회로입니다.여덟 번째 플립 플롭의 출력은 첫 번째의 입력으로 피드백되어 끊임없는 사이클을 만듭니다.이 폐쇄 루프 설계를 통해 카운터는 일련의 별개의 상태를 통과 할 수 있으며 각 상태는 플립 플롭 중 하나에 해당합니다.8 비트 구성은 총 8 개의 고유 상태를 처리 할 수 ​​있으며, 이는 작은 구성에 비해 카운터의 복잡성을 증가시킵니다.

8 비트 링 카운터의 작동은 첫 번째 플립 플롭을 활성 상태로 설정하는 반면 나머지 플립 플롭은 비활성입니다.그런 다음 시계 신호는 모든 플립 플롭에 균일하게 적용되어 전체 회로에서 상태 전환이 동시에 발생하도록합니다.시계가 펄럭이면, 활성 상태는 예측 가능한 사이클에서 하나의 플립 플롭에서 다음 플립 플롭으로 이동합니다.이 순차 토글은 마지막 플립 플롭이 출력을 첫 번째로 다시 전달하여 루프를 완료 할 때까지 계속됩니다.

4-bit Ring Counter

그림 3 : 4 비트 링 카운터

4 비트 링 카운터 작동

4 비트 링 카운터를 작동 시키려면 일반적으로 시작 상태 '0001'으로 초기화됩니다.이 설정에서 첫 번째 플립 플롭 (FF0)은 '1'출력으로 설정되고 다른 3 개의 플립 플롭 (FF1, FF2 및 FF3)은 '0'으로 지워집니다.이 초기 구성은 하나의 플립 플롭 만 '1'상태를 보유하고 각 클록 사이클과 함께 나머지 플립 플롭을 통해 순환하게됩니다.

시계가 펄럭이면 '1'은 FF0에서 FF1로, FF2, FF3으로, 결국 FF0으로 이동하여 반복 루프를 만듭니다.이 진행은 각 플립 플롭이 '1'상태를 유지하는 교대로 계속되는 반면, 다른 발전은 '0'으로 남아 있습니다.이 상태 변화는 링 카운터의 기본 작동을 형성하여 4 개의 플립 플롭을 모두 순환 할 때 예측 가능한 시퀀스를 보장합니다.

링 카운터의 동작을 더 잘 이해하려면 Xilinx와 같은 플랫폼에서 Verilog HDL과 같은 도구를 사용하는 파형 시뮬레이션이 도움이 될 수 있습니다.이 시뮬레이션은 카운터의 상태 전환의 그래픽 표현을 생성하여 '1'이 한 플립 플롭에서 각 클록 펄스와 함께 다음 단계로 어떻게 움직이는 지 확인할 수 있습니다.예를 들어, 한 클록 사이클 동안 '1'은 FF0에서 FF1로 이동하고 다음주기에서는 FF2로 이동하여 FF3에 도달 한 후 FF0으로 돌아올 때까지 계속됩니다.이러한 시각적 도구는 순차적 이동을 모니터링하는 데 도움이 될뿐만 아니라 설계의 타이밍과 전환의 정확성을 확인하는 데 도움이됩니다.링 카운터 기능에 대한 명확한보기를 제공하며, 이는 실제 응용 프로그램에서 장치가 올바르게 수행되는지 확인하는 데 적합합니다.

반지 카운터의 진실 테이블을 해독합니다

진실 테이블은 링 카운터의 입력 및 출력 상태를 매핑하는 데 사용되는 심각한 도구로, 카운터가 디지털 회로에서 어떻게 작동하는지에 대한 명확한 개요를 제공합니다.4 비트 링 카운터의 경우, 표는 '1'상태가 어떻게 반복주기에서 각 플립 플롭 출력 (Q0, Q1, Q2, Q3)을 통해 어떻게 움직이는지를 보여줍니다.재정의 입력 (ORI) 및 클록 펄스 (CLK)와 같은 입력도 상태 전환에 어떤 영향을 미치는지 보여줍니다.이 테이블은 카운터의 주기적 동작을 포착합니다. 여기서 '1'은 한 플립 플롭에서 다음 플립 플롭으로 진행되고 결국 시작점으로 돌아갑니다.

각 클록 사이클에서 '1'은 한 출력에서 ​​다음 출력으로 이동하여 Q0에서 Q1, Q1에서 Q2에서 Q2에서 Q3에서 Q3으로 이동하고 마지막으로 Q0으로 이동합니다.이 순차적 인 움직임은 링 카운터가 어떻게 기능하는지의 본질이며, 반복되고 예측 가능한 시퀀스에 의존하는 시스템의 요구를 직접 지원합니다.디지털 시계, 회전 센서 및 위치 인코더와 같은 장치는 모두 정확도와 타이밍이 사용되는이 순환 작동의 이점을 얻습니다.

Verilog HDL Program for Ring Counter

그림 4 : 링 카운터 용 Verilog HDL 프로그램

Verilog HDL의 링 카운터 디자인

다음 Verilog HDL 프로그램은 모듈 식 접근법을 사용하여 링 카운터의 동작을 모델링하도록 설계되었습니다.코드의 각 모듈은 링 카운터의 플립 플롭에 해당하며, 한 모듈의 출력은 다음의 입력으로 직접 공급됩니다.이 연결 체인은 상승 에지 시계 펄스에 의해 제어되며, 이는 모든 플립 플롭에서 상태 전환을 동기화하여 시스템이 조정 된 방식으로 작동하도록합니다.

다양한 유형의 링 카운터

링 카운터는 각각 고유 한 작동 특성의 두 가지 주요 유형으로 제공됩니다 : 직선 링 카운터와 트위스트 링 카운터.둘 다 디지털 시스템의 요구에 따라 다른 목적을 수행합니다.

Straight Ring Counter (One-hot Counter)

그림 5 : 직선 링 카운터 (1 홀 카운터)

종종 "원호"카운터라고하는 직선 링 카운터는 루프의 일련의 플립 플롭을 통해 단일 '1'을 전달하여 작동합니다.각 클록 펄스가마다 '1'은 다음 플립 플롭으로 이동하는 반면 다른 모든 플립 플롭은 '0'으로 유지됩니다.이 단순하고 순환적인 디자인은 기본 시퀀스 생성기 또는 시프트 레지스터와 같은 한 번에 하나의 활성 상태 만 필요한 애플리케이션에 이상적입니다.스트레이트 링 카운터의 간단한 특성은 간단한 반복 패턴이 필요한 시스템에서 사용 편의성과 신뢰성을 보장합니다.

Twisted Ring Counter (Johnson Counter)

그림 6 : 트위스트 링 카운터 (Johnson Counter)

Johnson 카운터로도 알려진 꼬인 링 카운터는 기본 디자인에 중요한 수정을 추가합니다.이 버전에서는 마지막 플립 플롭의 출력이 첫 번째 플립 플롭의 입력으로 페이스를 돌리기 전에 반전됩니다.이 반전은 일련의 것들에 일련의 0이 뒤 따르는 시퀀스를 생성하여 직선 링 카운터와 비교하여 별개의 상태의 수를 효과적으로 두 배로 늘립니다.결과적으로 Johnson 카운터는보다 복잡한 작업을 처리 할 수 ​​있으므로 디지털 위치 인코더 또는 고급 시퀀싱 작업과 같은 더 넓은 범위의 상태가 필요한 응용 프로그램에 더 나은 선택이됩니다.

링 카운터와 Johnson 카운터 비교

링 카운터와 Johnson 카운터의 주요 차이점은 피드백 루프를 처리하는 방법에있어 각 카운터의 상태 수와 전체 동작에 영향을 미칩니다.

링 카운터 : 링 카운터에서 마지막 플립 플롭의 출력은 변경없이 첫 번째 플립 플롭의 입력으로 직접 공급됩니다.이 직접 루프로 인해 총 상태 수는 카운터의 플립 플롭 수와 같습니다.예를 들어, 4 개의 플립 플롭이있는 경우 카운터는 4 개의 상태를 순환합니다.각 플립 플롭은 한 번의 클럭 사이클에 대해 높이 ( '1')를 유지하고 나머지 시간 동안 낮게 ( '0') 상태를 유지하여 단순하고 반복되는 상태를 만듭니다.

Johnson Counter : Johnson 카운터는 반면에 마지막 플립 플롭의 출력에서 ​​첫 번째 입력으로 역 피드백을 소개합니다.이 반전은 카운터가 링 카운터보다 더 많은 상태를 생성하여 숫자를 만들 수 있습니다.각 플립 플롭은 두 단계를 거칩니다. 먼저, 반대쪽 상태로 전환하기 전에 높이 ( '1')와 낮은 ( '0')를 유지합니다.이것은 4 개의 플립 플롭 Johnson 카운터가 8 개 주를 순환한다는 것을 의미합니다.또한이 설계는 출력 주파수를 줄이고 출력 주파수는 입력 클록 신호의 절반입니다.

링 카운터 사용의 장단점을 평가합니다

링 카운터에는 디지털 회로 설계에서의 적합성에 영향을 미치는 뚜렷한 이점과 단점이 있습니다.

프로

간단한 디자인 : 링 카운터의 주요 강점 중 하나는 간단한 구조입니다.다른 카운터와 달리 디코더와 같은 추가 구성 요소가 필요하지 않습니다.이러한 단순성을 사용하면 특히 복잡한 하드웨어없이 기본 인코딩 또는 디코딩이 필요한 시스템에서 더 쉽고 비용 효율적으로 구현할 수 있습니다.

더 적은 구성 요소 : 링 카운터의 피드백 루프 구조를 통해 다른 카운터 유형에 비해 더 적은 구성 요소로 작동 할 수 있습니다.이러한 부품 감소는 비용을 낮추는 것뿐만 아니라 신뢰성을 증가시킵니다. 구성 요소가 적 으면 하드웨어 고장의 위험이 줄어 듭니다.

단점

제한된 수 : 링 카운터의 주요 제한은 상태 수가 플립 플롭의 수와 직접 연결되어 있다는 것입니다.더 많은 상태가 필요한 경우 더 많은 상태를 요구하는 응용 프로그램에서는 실용적이지 않을 수있는 플립 플롭을 더 추가해야합니다.

자체 시작 기능 없음 : 링 카운터는 일반적으로 임의의 상태에서 시작할 수 없습니다.작동을 시작하려면 특정 사전 설정 조건이 필요하며, 이는 유연성과 빠른 시작이 원하는 시스템에서 불리 할 수 ​​있습니다.이는 카운터가 올바르게 초기화되도록 추가 단계 나 구성 요소가 필요할 수 있음을 의미합니다.

현대 전자 장치에서 링 카운터의 다양한 응용

링 카운터는 간단하면서도 효과적인 순환 조작 덕분에 다양한 디지털 시스템에서 핵심적인 역할을합니다.제어 된 시퀀스에서 고정 된 수의 상태를 이동하는 능력은 다양한 응용 분야에서 매우 유용합니다.

Frequency Counting and Digital Clocks

그림 7 : 주파수 계산 및 디지털 시계

링 카운터는 종종 주파수 카운터와 디지털 시계에서 사용됩니다. 정밀도와 신뢰성을 가진 정해진 수의 상태를 순환 할 수 있기 때문입니다.따라서 시간이나 빈도를 정확하게 추적 해야하는 작업에 이상적이며 안정적이고 예측 가능한 작동을 보장합니다.

Timers

그림 8 : 타이머

타이밍 응용 분야에서 링 카운터는 간격을 측정하고 특정 이벤트를 트리거하는 데 사용됩니다.시계 신호와 동기화하여 상태를 진행함으로써 타이밍을 관리하는 간단한 방법을 제공하여 카운터의 현재 상태에 따라 이벤트가 적절한 순간에 발생하도록합니다.

Finite-State Machines (FSM)

그림 9 : 유한 상태 기계 (FSM)

링 카운터는 일반적으로 유한 상태 시스템, 특히 ASIC (Application-Specific Integrated Circuit) 및 FPGA (Field-Programmable Gate Array) 디자인과 같은 환경에서 일반적으로 통합됩니다.예측 가능한 상태 전환은 이들 시스템에서 운영 흐름을 제어하는 ​​데 이상적이며 각 상태 변경이 원활하고 정확하게 처리되도록합니다.

Timing Signals

그림 10 : 타이밍 신호

링 카운터는 또한 타이밍 신호를 생성하는 데 유용하며, 이는보다 복잡한 회로의 작동을 조정하는 데 유용합니다.이러한 신호를 규칙적이고 순환적인 방식으로 생성함으로써 회로의 다른 부분이 동기화되도록 도와줍니다.

Pseudo-Random Number Generation

그림 11 : 의사 랜덤 수 생성

암호화 시스템에서 링 카운터는 암호화 알고리즘에 위험한 의사 랜덤 번호를 생성하는 데 사용됩니다.출력에서 임의성을 유지하면서도 상태를 예측할 수있는 카운터의 능력은이 민감한 응용 분야에서 유용합니다.

Circular Storage Management

그림 12 : 원형 저장 관리

메모리 시스템에서 링 카운터는 원형 큐 관리를 지원하여 데이터가 저장되고 효율적으로 검색되도록합니다.그들의 주기적 특성을 사용하면 반복적 인 데이터 사이클링을 제어 된 방식으로 처리 할 수 ​​있으므로 연속 데이터 흐름에 의존하는 버퍼 및 기타 스토리지 시스템을 관리하는 데 이상적입니다.

결론

링 카운터는 디지털 회로 설계에서 궁극적이면서도 다재다능한 구성 요소를 나타냅니다. 디지털 회로 설계는 간단한 구조와 다양한 응용 분야에서 효과적인 작동을 특징으로합니다.고정 된 수의 상태 및 자체 시작 기능 부족과 같은 한계에도 불구하고 링 카운터의 단순성과 신뢰성은 현대 디지털 시스템의 설계에 필수적입니다.






자주 묻는 질문 [FAQ]

1. 존슨 카운터의 응용은 무엇입니까?

트위스트 링 카운터라고도하는 존슨 카운터는 주로 지연 타이머를 생성하고 대칭 제곱 파형을 생성하기 위해 디지털 전자 장치에 주로 사용됩니다.이 카운터는 시간 시퀀싱을위한 디지털 시계, 시퀀스 작업을 관리하는 분할 -N 카운터로서, 이진 값 세트를 주기적으로 생성하는 숫자 디스플레이를 구동하는 데 디지털 시계에서 실제 응용 프로그램을 찾습니다.운영자는 종종 다른 카운터보다 플립 플롭이 적은 많은 수의 상태를 생산할 때의 단순성과 신뢰성에 대한 Johnson 카운터에 의존합니다.

2. 링 카운터의 분류는 무엇입니까?

링 카운터는 작동 동기화에 따라 분류됩니다.

동기 링 카운터 : 모든 플립 플롭은 공통 클럭 신호에 의해 구동되며 모든 플립 플롭에서 전환이 동시에 발생합니다.

비동기식 (또는 리플) 링 카운터 : 하나의 플립 플롭의 출력은 다음의 시계 입력이되어 카운터를 통해 파열되는 순차적 전환으로 이어집니다.

3. 링 카운터를 사용하는 방법?

링 카운터를 효과적으로 사용하려면 :

초기화 : 1을 제외하고 모든 플립 플롭을 0으로 설정하는 것으로 시작합니다.이 설정은 링을 순환하는 단일 '1'을 만듭니다.

시계 입력 : 클록 펄스를 적용하십시오.각 펄스에 따라 '1'은 하나의 플립 플롭에서 다음 순서로 이동합니다.

출력 모니터링 : 각 플립 플롭 출력을 모니터링하여 회로에서 '1'위치를 추적하여 타이밍 및 시퀀스 제어에 유용합니다.

4. 링 카운터가 비동기식이거나 동기식입니까?

링 카운터는 설계에 따라 동기식 또는 비동기식 일 수 있습니다.

동기 링 카운터: 모든 플립 플롭은 시계 신호와 동시에 상태를 변경합니다.

비동기 링 카운터 : 플립 플롭은 이전 플립 플롭의 활성화 후 순차적으로 상태를 변화시켜 파급 효과를 유발합니다.

5. 링 카운터와 존스 카운터의 차이점은 무엇입니까?

링 카운터와 존슨 카운터의 주요 차이점은 다음과 같습니다.

메모리 사용 : N 플립 플롭이있는 링 카운터는 N 상태를 나타내는 반면, Johnson 카운터는 2N 상태를 나타내며 Johnson 카운터는 플립 플롭 당 상태 표현 측면에서 더 효율적입니다.

회로 복잡성 : Johnson 카운터는 링 카운터에 비해 추가 배선 및 설정이 필요하므로 더 복잡합니다.

출력 파형 : Johnson 카운터는보다 복잡한 출력 파형 세트를 생성하며, 이는 통신 시스템의 파형 생성과 같은 상세한 타이밍 패턴이 필요한 응용 분야에서 유리할 수 있습니다.

0 RFQ
쇼핑 카트 (0 Items)
비어 있습니다.
목록을 비교하십시오 (0 Items)
비어 있습니다.
피드백

귀하의 의견이 중요합니다!Allelco에서는 사용자 경험을 소중히 여기며 지속적으로 개선하기 위해 노력합니다.
피드백 양식을 통해 귀하의 의견을 공유하십시오. 즉시 응답하겠습니다.
Allelco을 선택해 주셔서 감사합니다.

주제
이메일
메모/주석
인증 코드
파일을 업로드하려면 드래그 또는 클릭하십시오
파일 업로드
유형 : .xls, .xlsx, .doc, .docx, .jpg, .png 및 .pdf.
최대 파일 크기 : 10MB